CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - C8051 VHDL

搜索资源列表

  1. 8051VHDL

    0下载:
  2. 一个C8051 内核的VHDL程序源代码-C8051 core of a VHDL source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:421110
    • 提供者:ydx
  1. 8051core_vhdl

    0下载:
  2. 8051的内核(vhdl) This is version 1.1. of the MC8051 IP core. 在FPGA上运行.供有精力的人研究.-8051 kernel (vhdl) This is version 1.1. Of the M C8051 IP core. FPGA operation. have the energy for the study.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:213197
    • 提供者:efly
  1. C8051

    2下载:
  2. VHDL版的C8051核(C8051).evatronix公司的IP核
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:739510
    • 提供者:王武
  1. c8051

    0下载:
  2. USB v1.1 RTL and design specification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1067220
    • 提供者:QiangWang
  1. C8051

    0下载:
  2. 8051 VHDL CORE includes documents, vhdl, test pattern, ...
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-10-28
    • 文件大小:793344
    • 提供者:yfchen58
  1. C8051_mega_core.tar

    1下载:
  2. 8051单片机软核,测试代码和仿真环境,可直接上fpga使用,是一个成熟的ip核。经本人仿真以及在fpga上测试,完全正常。-8051 soft ip core, testbench, simulation environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:720261
    • 提供者:sdwsh
  1. src

    0下载:
  2. c8051 core vhdl c8051 core vhdl-c8051 core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:71922
    • 提供者:jason
  1. c8051

    0下载:
  2. 51单片机,基于vhdl的ip核,这资料非常有用,结构性非常强,值得学习-51 microcontroller based vhdl ip core, this information is very useful, very strong structural worth learning。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:768660
    • 提供者:杨伏平
  1. 51

    0下载:
  2. 完整的8051的IP核,用VHDL语言描述-the ip core of c8051,described in VHDL language
  3. 所属分类:Com Port

    • 发布日期:2017-11-22
    • 文件大小:758979
    • 提供者:lvpw
  1. 8051-vhdl

    0下载:
  2. 向比MC8051,C8051的书写更加工整,更加适合处理设计的学习,并且多一个调试单元,绝对震撼!-To than the MC8051, C8051 write more neatly, more suited to deal with the design of the study, and a debug unit, absolutely shocked!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:760281
    • 提供者:xumeng
  1. ise_c8051

    0下载:
  2. r8051(c8051)IP源码,使用VHDL编写。整个工程通过ISE13.2实现,附带完整testbench,并实例化了rom和ram,可以运行c代码。工程内包含modelsim的仿真脚本,可以观测程序运行时的内部硬件工作情况。-r8051 (c8051) IP source code, the use of VHDL. The whole project is realized by ISE13.2, with complete testbench, and examples of the
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-20
    • 文件大小:6135627
    • 提供者:woody.wu
  1. mc8051_design

    0下载:
  2. 使用VHDL语言,实现C8051 IP Core(Use VHDL, Realize C8051 IP Core)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:407552
    • 提供者:飞雪漫天
搜珍网 www.dssz.com